home
home
News
Page 22

News

Try and adopt Motu-Uta, the benchmark for a fair evaluation of Standard Cell libraries

SoC designers are at ease to compare the performances of memories. It indeed only requires selecting some memory capacities and checking that performances are provided in the same conditions among the suppliers. Area, speed (access time), power consumption in dynamic mode and leakage may be then objectively compared. What about Standard Cell libraries? Not an

Try and adopt Motu-Uta, the benchmark for a fair evaluation of Standard Cell libraries Read More »

Low-power of Bluetooth SoCs depends on our low frequency oscillators

As an unavoidable technology for IoT, the Bluetooth Low Energy (BLE) protocol is designed to enable very low-power consumption devices. To save power, designers of BLE ICs need to implement a wake-up system, sufficiently accurate from a time tracking point-of-view, to ensure that paired devices operate in the same time-window. The higher the clock drift,

Low-power of Bluetooth SoCs depends on our low frequency oscillators Read More »

Thorough validation: the conundrum of Pulsed latch libraries turned practical as Spinner systems
M. Louvat

Using pulsed latches instead of flip-flops is a solution that has been thoroughly studied for its advantages in speed, density, and power consumption reduction [1] [2]. Even so, this solution has not been widely adopted by standard cell library providers because of the difficulties related to timing verifications: pulse width integrity and hold time closure.

Thorough validation: the conundrum of Pulsed latch libraries turned practical as Spinner systems
M. Louvat
Read More »

Starchip obtains funding for new technology LISA, a collaborative task force including Dolphin Integration… A breakthrough for secure wireless applications!

The LISA project will develop dual-interface chips, designed for secure RF (radio-frequency) applications such as banking, ID, transport or connected objects, using innovative wireless technology offering substantial costs reduction during the card manufacturing process. StarChip is the project leader of a collaborative task force, which brings together SPS, Dolphin Integration, Morpho, and 2 public research

Starchip obtains funding for new technology LISA, a collaborative task force including Dolphin Integration… A breakthrough for secure wireless applications! Read More »

EN 9100 Certification for our service activity of ASIC/SoC integration

The company announces having passed the EN 9100 certification for its service activity in design and integration of specific integrated circuits, in accordance with its customers’ demand, in the aeronautics and military domains. This new certification partakes naturally in a process of excellence and complements the ISO 9001 certification granted in 2008. Dolphin Integration thus

EN 9100 Certification for our service activity of ASIC/SoC integration Read More »

A versatile Control Network of power domains in a low power SoC
G. Reveret

With the development of more and more power-consuming mobile applications, the battery lifetime has become the biggest challenge of a low-power System-on-Chip (SoC). Success in designing a low-power SoC requires successive attention to five intertwined networks: the exchange network between functional blocks through data busses, the clock distribution network, possibly enabling clock gating and frequency

A versatile Control Network of power domains in a low power SoC
G. Reveret
Read More »

Try and adopt MIWOK™, THE benchmark for Voice Activity Detectors (VAD)

Intuitive and simple user interface drives the growing demand for voice control, either complementing or replacing keyboards, touchscreens and other traditional controls. The multiplicity of solutions available for Voice Activity Detection (VAD), combined with the absence of vendor-independent benchmarks, makes it hard for any purchaser to select the best solution. Today, neither unified performances nor

Try and adopt MIWOK™, THE benchmark for Voice Activity Detectors (VAD) Read More »

Easy and secure solution to manage SoC power mode transitions

Expectations of long battery life for ever more feature-packed applications require ultra low-power optimization to reduce overall power consumption. Reaching the low-power consumption target for your SoC implies: To turn on/off different functions through clock gating and power-gating techniques for implementing power domains To manage the transitions between modes of these power domains This previously

Easy and secure solution to manage SoC power mode transitions Read More »